博客
关于我
ZYNQ开发实例之布局约束
阅读量:544 次
发布时间:2019-03-08

本文共 739 字,大约阅读时间需要 2 分钟。

分享一例位置约束实例

如下图所示,出现这个警告的意思是在一个bank中时钟管理器不够用需要到其他bank中找一个,但这不是最优的解决方案,如果要这么处理就需要在约束文件中进行约束

在这里插入图片描述

先分配一下IO对应的时钟管理器。A1分配mmcm1,A2分配PLL,A3分配mmcm2,如下图:

在这里插入图片描述

可以在属性中获取时钟管理器的坐标:

mmcm1的坐标是MMCME2_ADV_X1Y3
pll的坐标是PLLE2_ADV_X1Y2
mmcm2的坐标是MMCME2_ADV_X1Y2

可以在Schematic中找到时钟管理器的网络路径:

鼠标选中目标器件,在tcl中输入get_selected_objects命令就会打印出目标路径
在这里插入图片描述
约束:

消除警告

set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets clk_9516]

位置约束

set_property LOC MMCME2_ADV_X1Y3 [get_cells u_clk/U_CLK_CM1/u_CM1/inst/mmcm_adv_inst]

位置约束

set_property LOC PLLE2_ADV_X1Y2
[get_cells u_dataProcess/u_dataProcess_1dfft_2/u_ad_interface_1_5/u_mmcm_ad_I/inst/plle2_adv_inst]

位置约束

set_property LOC MMCME2_ADV_X1Y2
[get_cells u_dataProcess/u_dataProcess_1dfft_2/u_ad_interface_1_5/u_mmcm_ad_Q/inst/mmcm_adv_inst]

转载地址:http://jzfiz.baihongyu.com/

你可能感兴趣的文章
Nginx 源码完全注释(11)ngx_spinlock
查看>>
Nginx 的 proxy_pass 使用简介
查看>>
Nginx 的 SSL 模块安装
查看>>
Nginx 的优化思路,并解析网站防盗链
查看>>
Nginx 的配置文件中的 keepalive 介绍
查看>>
nginx 禁止以ip形式访问服务器
查看>>
Nginx 结合 consul 实现动态负载均衡
查看>>
Nginx 负载均衡与权重配置解析
查看>>
Nginx 负载均衡详解
查看>>
nginx 配置 单页面应用的解决方案
查看>>
nginx 配置https(一)—— 自签名证书
查看>>
nginx 配置~~~本身就是一个静态资源的服务器
查看>>
Nginx 配置服务器文件上传与下载
查看>>
Nginx 配置清单(一篇够用)
查看>>
Nginx 配置解析:从基础到高级应用指南
查看>>
Nginx 集成Zipkin服务链路追踪
查看>>
nginx 集群配置方式 静态文件处理
查看>>
nginx+php的搭建
查看>>
nginx+tomcat+memcached
查看>>
Nginx+Tomcat实现动静分离
查看>>